Title:
TABLA: A Unified Template-based Framework for Accelerating Statistical Machine Learning

dc.contributor.author Mahajan, Divya
dc.contributor.author Park, Jongse
dc.contributor.author Amaro, Emmanuel
dc.contributor.author Sharma, Hardik
dc.contributor.author Yazdanbakhsh, Amir
dc.contributor.author Kim, Joon
dc.contributor.author Esmaeilzadeh, Hadi
dc.contributor.corporatename Georgia Institute of Technology. College of Computing en_US
dc.contributor.corporatename Georgia Institute of Technology. School of Computer Science en_US
dc.date.accessioned 2015-09-22T17:52:56Z
dc.date.available 2015-09-22T17:52:56Z
dc.date.issued 2015
dc.description Research areas: Heterogeneous Computing, Statistical Machine Learning, Accelerator Design en_US
dc.description.abstract A growing number of commercial and enterprise systems increasingly rely on compute-intensive machine learning algorithms. While the demand for these compute-intensive applications is growing, the performance benefits from general-purpose platforms are diminishing. To accommodate the needs of machine learning algorithms, Field Programmable Gate Arrays (FPGAs) provide a promising path forward and represent an intermediate point between the efficiency of ASICs and the programmability of general-purpose processors. However, acceleration with FPGAs still requires long design cycles and extensive expertise in hardware design. To tackle this challenge, instead of designing an accelerator for machine learning algorithms, we develop TABLA, a framework that generates accelerators for a class of machine learning algorithms. The key is to identify the commonalities across a wide range of machine learning algorithms and utilize this commonality to provide a high-level abstraction for programmers. TABLA leverages the insight that many learning algorithms can be expressed as stochastic optimization problems. Therefore, a learning task becomes solving an optimization problem using stochastic gradient descent that minimizes an objective function. The gradient solver is fixed while the objective function changes for different learning algorithms. TABLA provides a template-based framework for accelerating this class of learning algorithms. With TABLA, the developer uses a high-level language to only specify the learning model as the gradient of the objective function. TABLA then automatically generates the synthesizable implementation of the accelerator for FPGA realization. We use TABLA to generate accelerators for ten different learning task that are implemented on a Xilinx Zynq FPGA platform. We rigorously compare the benefits of the FPGA acceleration to both multicore CPUs (ARMCortex A15 and Xeon E3) and to many-core GPUs (Tegra K1, GTX 650 Ti, and Tesla K40) using real hardware measurements. TABLA-generated accelerators provide 15.0x and 2.9x average speedup over the ARM and the Xeon processors, respectively. These accelerator provide 22.7x, 53.7x, and 30.6x higher performance-per-Watt compare to Tegra, GTX 650, and Tesla, respectively. These benefits are achieved while the programmers write less than 50 lines of code. en_US
dc.embargo.terms null en_US
dc.identifier.uri http://hdl.handle.net/1853/54043
dc.language.iso en_US en_US
dc.publisher Georgia Institute of Technology en_US
dc.relation.ispartofseries SCS Technical Report ; GT-CS-15-07 en_US
dc.subject Data flow graphs en_US
dc.subject FPGA accelerators en_US
dc.subject Stochastic gradient descent en_US
dc.subject Template-based design en_US
dc.title TABLA: A Unified Template-based Framework for Accelerating Statistical Machine Learning en_US
dc.type Text
dc.type.genre Technical Report
dspace.entity.type Publication
local.contributor.corporatename College of Computing
local.contributor.corporatename School of Computer Science
local.relation.ispartofseries College of Computing Technical Report Series
local.relation.ispartofseries School of Computer Science Technical Report Series
relation.isOrgUnitOfPublication c8892b3c-8db6-4b7b-a33a-1b67f7db2021
relation.isOrgUnitOfPublication 6b42174a-e0e1-40e3-a581-47bed0470a1e
relation.isSeriesOfPublication 35c9e8fc-dd67-4201-b1d5-016381ef65b8
relation.isSeriesOfPublication 26e8e5bc-dc81-469c-bd15-88e6f98f741d
Files
Original bundle
Now showing 1 - 1 of 1
Thumbnail Image
Name:
GT-CS-15-07.pdf
Size:
645.69 KB
Format:
Adobe Portable Document Format
Description:
License bundle
Now showing 1 - 1 of 1
No Thumbnail Available
Name:
license.txt
Size:
3.13 KB
Format:
Item-specific license agreed upon to submission
Description: