Person:
Tolbert, Laren M.

Associated Organization(s)
ORCID
ArchiveSpace Name Record

Publication Search Results

Now showing 1 - 10 of 11
  • Item
    High sensitivity nonchemically amplified molecular resists based on photosensitive dissolution inhibitors
    (Georgia Institute of Technology, 2010-11) Lawson, Richard A. ; Tolbert, Laren M. ; Henderson, Clifford L.
    A new class of nonchemically amplified molecular resists has been made based on the use of photosensitive protecting groups. The deprotection during exposure converts a dissolution inhibiting compound into a dissolution promoter. The key benefit of the use of molecular resists in this application is that they can exhibit a sharp solubility transition with relatively low levels of deprotection. Two different inhibiting compounds were made that use a 2-nitrobenzyl protecting group; NBnDCh, an aliphatic molecular resist based on deoxycholic acid, and NBnHPF, based on an aromatic molecular resist containing two phenol groups. Blending these compounds with a calixarene dissolution promoter allowed the contrast and sensitivity of the resist formulations to be tuned. Contrast ratios as high as 27 and deep ultraviolet (DUV) sensitivities between 150 and 400 mJ/cm2 were obtained using NBnDCh. NBnHPF based systems not only showed somewhat lower contrasts but also exhibited much lower clearing doses of only 60 mJ/cm2 and smaller. One particular NBnHPF formulation possessed a sensitivity of 10 mJ/cm2 and a contrast of 8.3, and it was even possible to formulate one resist with an extremely low dose-to-clear value of only 1 mJ/cm2. Such low dose-to-clear values in nonchemically amplified resists have, to the authors’ knowledge, not been reported before. The Dill C parameter for each of the two systems was quantified using Fourier transform infrared spectroscopy. The sensitivity of the NBnHPF systems was found to be very good because they undergo a solubility transition at 75 mol % inhibitor; this means that some formulations only need 0.5% photoconversion to pattern. Despite the excellent DUV sensitivity of these systems, it was found that they do not possess high sensitivity when exposed using extreme ultraviolet or e-beam exposure sources.
  • Item
    Comparison of positive tone versus negative tone resist pattern collapse behavior
    (Georgia Institute of Technology, 2010-11) Yeh, Wei-Ming ; Noga, David E. ; Lawson, Richard A. ; Tolbert, Laren M. ; Henderson, Clifford L.
    In this work, e-beam lithography patterns have been specifically designed and fabricated which provide the opportunity to probe the collapse behavior of both positive and negative tone systems. The pattern layout includes adjacent parallel line structures that both vary in the line size and also in the distance by which they are separated by the space between them. This type of structure allows for the control and modulation of the capillary forces, and ultimately the stresses, experienced by the photoresist line pairs during the final rinse and drying steps of the development process. Using such structures, it is possible to determine the critical stress, i.e., the maximum stress experienced by the photoresist lines before collapse, as a function of a variety of parameters including: material type, substrate preparation conditions, resist film thickness, and resist feature width. In this article, such a modular approach has been used to compare the pattern collapse behavior of a prototypical positive tone resist formulated using a protected hydroxystyrene-based copolymer and a prototypical negative tone epoxide-based molecular photoresist (4-EP). It was found that the critical stress at the point of pattern collapse decreased both as the thickness and the feature width of the resist lines decreased, though this trend was observed to a much lesser extent in the negative tone 4-EP material. It is observed that the negative tone resist, whose imaging mechanism involves cross-linking, shows far superior pattern collapse performance as compared to the positive tone deprotection based resist and is in general able to achieve significantly higher aspect ratio patterning at equivalent feature linewidths. (C) 2010 American Vacuum Society.
  • Item
    Single-component molecular resists containing bound photoacid generator functionality
    (Georgia Institute of Technology, 2010-01) Lawson, Richard A. ; Tolbert, Laren M. ; Henderson, Clifford L.
    A series of single component molecular resists were designed, synthesized, characterized, and patterned using 100 keV e-beam lithography. An onium salt PAG based single component system (referred to here as TAS) which creates a free photoacid upon exposure is shown to produce a low line edge roughness (LER) of 3.9 nm (3σ), but was limited in resolution due to photoacid diffusion. A single component molecular resist with a covalently bound non-ionic photoacid generator (referred to here as NBB), i.e. one in which the photoacid anion is bound to the resist core, was found to exhibit an improved resolution of 40 nm due to reduced photoacid diffusion while maintaining a good LER and line width roughness (LWR) of 3.9 nm and 5.6 nm, respectively. Despite the small size of NBB, it was found to exhibit a glass transition temperature of 82°C. It also showed good adhesion, formed high quality films, and showed no dark erosion during development. These compounds demonstrate that it is possible to form single component molecular resists using both ionic and non-ionic photoacid generators and that such small molecule resists can provide all the basic requirements to serve as functional chemically amplified resists.
  • Item
    Negative tone molecular resists using cationic polymerization: Comparison of epoxide and oxetane functional groups
    (Georgia Institute of Technology, 2009-11) Lawson, Richard A. ; Noga, David E. ; Younkin, Todd R. ; Tolbert, Laren M. ; Henderson, Clifford L.
    Two molecular resists with a common molecular glass core were synthesized and characterized to compare the differences between epoxide (oxirane) and oxetane functional groups for use in high resolution negative tone molecular resists. Both resists are able to obtain at least 50 nm half-pitch at a sensitivity of 75 μC/cm² under 100 keV electron-beam lithography. Due to differences in the kinetics of the cationic polymerization of epoxides as compared to oxetanes, the epoxide functionalized resist (2-Ep) was able to obtain sub-25-nm half-pitch resolution with good line edge roughness (LER) of 2.9 nm (3σ) while the oxetane resist (2-Ox) was limited to 50 nm half-pitch resolution and exhibited higher LER (3σ) of 10.0 nm. The polymerization of the oxetane functional group has slow initiation and fast propagation which leads to reduced performance in 2-Ox as compared to 2-Ep. While oxetane functionalized molecular resists can obtain reasonably good imaging performance, epoxide functional groups show more promise for use in next generation negative tone resists that have a good combination of resolution, sensitivity, and LER.
  • Item
    Nonionic photoacid generator behavior under high-energy exposure sources
    (Georgia Institute of Technology, 2009-10) Lawson, Richard A. ; Noga, David E. ; Tolbert, Laren M. ; Henderson, Clifford L.
    A series of nonionic photoacid generators (PAGs) are synthesized and their acid generation efficiency measured under deep ultraviolet (DUV) and electron beam exposures. The acid generation efficiency is determined with an on-wafer method that uses spectroscopic ellipsometry to measure the absorbance of an acid sensitive dye (Coumarin 6) Under DUV exposures, common ionic onium salt PAGs show excellent photoacid generation efficiency, superior to most nonionic PAGs tested in this work. In contrast, when under 100-keV high energy e-beam exposures, almost all of the nonionic PAGs show significantly better acid generation performance than the ionic onium salt PAGs tested. In particular, one nonionic PAG shows almost an order of magnitude improvement in the Dill C acid generation rate constant compared to a triarylsulfonium PAG. The high energy acid generation efficiency is found to correlate well with the electron affinity of the PAGs, suggesting that improvements in PAG design can be predicted. Nonionic PAGs merit further investigation as a means for producing higher sensitivity resists under high energy exposure sources.
  • Item
    Influence of solubility switching mechanism on resist performance in molecular glass resists
    (Georgia Institute of Technology, 2007-11) Lawson, Richard A. ; Lee, Cheng-Tsung ; Henderson, Clifford L. ; Whetsell, Robert ; Tolbert, Laren M. ; Yueh, Wang
    Five different molecular glass chemically amplified photoresists which utilized different solubility switching mechanisms and chemistries, based on a tris(4-hydroxyphenyl)ethane (THPE) core, were synthesized and their performance compared. Three different positive tone systems were designed based on acid catalyzed deprotection of a phenolic hydroxyl group protected with one of the following groups: tert-butoxycarbonyl (tBoc), tetrahydropyranyl, or ethoxyethyl. Two negative tone systems were designed; one using cationic epoxide polymerization of pendant epoxides and one using condensation of the unprotected THPE with a multifunctional cross-linking additive. The tBoc system and negative tone systems showed good performance under deep UV and large field e-beam patterning, but the epoxide system showed far superior performance for high resolution electron beam patterning. It was able to produce 50 nm 1:1 line/space patterns and 30 nm lines on 1:3 line/space patterns with high sensitivity, good contrast, and a very low line edge roughness (3σ) of 2.3 nm using 100 keV electron beam patterning.
  • Item
    Charge distribution in photoexcited molecules
    (Georgia Institute of Technology, 1990-05) Tolbert, Laren M.
  • Item
    Biooxidation of arylalkyl hydrocarbons
    (Georgia Institute of Technology, 1989-07) Tolbert, Laren M.
  • Item
    Fundamentals of carbanion photochemistry
    (Georgia Institute of Technology, 1989) Tolbert, Laren M.
  • Item
    Bio-oxidation of arylalkyl hydrocarbons
    (Georgia Institute of Technology, 1989) Tolbert, Laren M.