Title:
Reliable clock and power delivery network design for three-dimensional integrated circuits

dc.contributor.advisor Lim, Sung Kyu
dc.contributor.author Zhao, Xin en_US
dc.contributor.committeeMember Bakir, Muhannad
dc.contributor.committeeMember Kim, Hyesoon
dc.contributor.committeeMember Mukhopadhyay, Saibal
dc.contributor.committeeMember Swaminathan, Madhavan
dc.contributor.department Electrical and Computer Engineering en_US
dc.date.accessioned 2013-01-17T21:52:16Z
dc.date.available 2013-01-17T21:52:16Z
dc.date.issued 2012-11-02 en_US
dc.description.abstract The main objective of this thesis is to design reliable clock-distribution networks and power-delivery networks for three-dimensional integrated circuits (3D ICs) using through-silicon vias (TSVs). This dissertation supports this goal by addressing six research topics. The first four works focus on 3D clock tree synthesis for low power, pre-bond testability, TSV-induced obstacle avoidance, and TSV utilization. The last two works develop modeling approaches for reliability analysis on 3D power-delivery networks. In the first work, a clock synthesis algorithm is developed for low-power and low-slew 3D clock network design. The impact of various design parameters on clock performance, including the wirelength, clock power, clock slew, and skew, is investigated. These parameters cover the TSV count, TSV parasitics, the maximum loading capacitance of the clock buffers, and the supply voltage. In the second work, a clock synthesis algorithm is developed to construct 3D clock networks for both pre-bond testability and post-bond operability. Pre-bond testing of 3D stacked ICs involves testing each individual die before bonding, which can improve the overall yield of 3D ICs by avoiding stacking defective dies with good ones. Two key techniques including TSV-buffer insertion and redundant tree generation are implemented to minimize clock skew and ensure pre-bond testing. The impact of TSV utilization and TSV parasitics on clock power is also investigated. In the third work, an obstacle-aware clock tree synthesis method is presented for through-silicon-via (TSV)-based 3D ICs. A unique aspect of this problem lies in the fact that various types of TSVs become obstacles during 3D clock routing including signal, power/ground, and clock TSVs. These TSVs may occupy silicon area or routing layers. The generated clock tree does not sacrifice wirelength or clock power too much and avoids TSV-induced obstacles. In the fourth work, a decision-tree-based clock synthesis (DTCS) method is developed for low-power 3D clock network design, where TSVs form a regular 2D array. This TSV array style is shown to be more manufacturable and practical than layouts with TSVs located at irregular spots. The DTCS method explores the entire solution space for the best TSV array utilization in terms of low power. Close-to-optimal solutions can be found for power efficiency with skew minimization in short runtime. In the fifth work, current crowding and its impact on 3D power grid integrity is investigated. Due to the geometry of TSVs and connections to the global power grid, significant current crowding can occur. The current density distribution within a TSV and its connections to the global power grid is explored. A simple TSV model is implemented to obtain current density distributions within a TSV and its local environment. This model is checked for accuracy by comparing with identical models simulated using finite element modeling methods. The simple TSV models are integrated with the global power wires for detailed chip-scale power analysis. In the sixth work, a comprehensive multi-physics modeling approach is developed to analyze electromigration (EM) in TSV-based 3D connections. Since a TSV has regions of high current density, grain boundaries play a significant role in EM dominating atomic transport. The transient analysis is performed on atomic transport including grain and grain boundary structures. The evolution of atomic depletion and accumulation is simulated due to current crowding. And the TSV resistance change is modeled. en_US
dc.description.degree PhD en_US
dc.identifier.uri http://hdl.handle.net/1853/45881
dc.publisher Georgia Institute of Technology en_US
dc.subject Power network en_US
dc.subject Clock network en_US
dc.subject Through-silicon vias en_US
dc.subject Three-dimensional integration en_US
dc.subject Low power en_US
dc.subject Reliability en_US
dc.subject Electromigration en_US
dc.subject.lcsh Three-dimensional integrated circuits
dc.subject.lcsh Digital electronics
dc.subject.lcsh Integrated circuits
dc.subject.lcsh Electrodiffusion
dc.title Reliable clock and power delivery network design for three-dimensional integrated circuits en_US
dc.type Text
dc.type.genre Dissertation
dspace.entity.type Publication
local.contributor.advisor Lim, Sung Kyu
local.contributor.corporatename School of Electrical and Computer Engineering
local.contributor.corporatename College of Engineering
relation.isAdvisorOfPublication 31bc3e86-9942-4b3f-aeae-783bb95052ff
relation.isOrgUnitOfPublication 5b7adef2-447c-4270-b9fc-846bd76f80f2
relation.isOrgUnitOfPublication 7c022d60-21d5-497c-b552-95e489a06569
Files
Original bundle
Now showing 1 - 1 of 1
Thumbnail Image
Name:
zhao_xin_201212_phd.pdf
Size:
8.06 MB
Format:
Adobe Portable Document Format
Description: